Emerging deep neural network (DNN) applications require high-performance multi-core hardware acceleration with large data bursts. Classical network-on-chips (NoCs) use serial packet-based protocols suffering from significant protocol translation overheads towards the endpoints. This paper proposes PATRONoC, an open-source fully AXI-compliant NoC fabric to better address the specific needs of multi-core DNN computing platforms. Evaluation of PATRONoC in a 2D-mesh topology shows 34% higher area efficiency compared to a state-of-the-art classical NoC at 1 GHz. PATRONoC's throughput outperforms a baseline NoC by 2-8x on uniform random traffic and provides a high aggregated throughput of up to 350 GiB/s on synthetic and DNN workload traffic.

PATRONoC: Parallel AXI Transport Reducing Overhead for Networks-on-Chip targeting Multi-Accelerator DNN Platforms at the Edge / Jain, Vikram; Cavalcante, Matheus; Bruschi, Nazareno; Rogenmoser, Michael; Benz, Thomas; Kurth, Andreas; Rossi, Davide; Benini, Luca; Verhelst, Marian. - ELETTRONICO. - (2023), pp. 1-6. (Intervento presentato al convegno 2023 60th ACM/IEEE Design Automation Conference (DAC) tenutosi a San Francisco, usa nel 09-13 July 2023) [10.1109/DAC56929.2023.10247800].

PATRONoC: Parallel AXI Transport Reducing Overhead for Networks-on-Chip targeting Multi-Accelerator DNN Platforms at the Edge

Bruschi, Nazareno;Rossi, Davide;Benini, Luca;
2023

Abstract

Emerging deep neural network (DNN) applications require high-performance multi-core hardware acceleration with large data bursts. Classical network-on-chips (NoCs) use serial packet-based protocols suffering from significant protocol translation overheads towards the endpoints. This paper proposes PATRONoC, an open-source fully AXI-compliant NoC fabric to better address the specific needs of multi-core DNN computing platforms. Evaluation of PATRONoC in a 2D-mesh topology shows 34% higher area efficiency compared to a state-of-the-art classical NoC at 1 GHz. PATRONoC's throughput outperforms a baseline NoC by 2-8x on uniform random traffic and provides a high aggregated throughput of up to 350 GiB/s on synthetic and DNN workload traffic.
2023
2023 60th ACM/IEEE Design Automation Conference (DAC)
1
6
PATRONoC: Parallel AXI Transport Reducing Overhead for Networks-on-Chip targeting Multi-Accelerator DNN Platforms at the Edge / Jain, Vikram; Cavalcante, Matheus; Bruschi, Nazareno; Rogenmoser, Michael; Benz, Thomas; Kurth, Andreas; Rossi, Davide; Benini, Luca; Verhelst, Marian. - ELETTRONICO. - (2023), pp. 1-6. (Intervento presentato al convegno 2023 60th ACM/IEEE Design Automation Conference (DAC) tenutosi a San Francisco, usa nel 09-13 July 2023) [10.1109/DAC56929.2023.10247800].
Jain, Vikram; Cavalcante, Matheus; Bruschi, Nazareno; Rogenmoser, Michael; Benz, Thomas; Kurth, Andreas; Rossi, Davide; Benini, Luca; Verhelst, Marian
File in questo prodotto:
Eventuali allegati, non sono esposti

I documenti in IRIS sono protetti da copyright e tutti i diritti sono riservati, salvo diversa indicazione.

Utilizza questo identificativo per citare o creare un link a questo documento: https://hdl.handle.net/11585/957115
 Attenzione

Attenzione! I dati visualizzati non sono stati sottoposti a validazione da parte dell'ateneo

Citazioni
  • ???jsp.display-item.citation.pmc??? ND
  • Scopus ND
  • ???jsp.display-item.citation.isi??? 0
social impact