Data-parallel problems demand ever growing floating-point (FP) operations per second under tight area-and energy-efficiency constraints. In this work, we present Manticore, a general-purpose, ultraefficient chiplet-based architecture for data-parallel FP workloads. We have manufactured a prototype of the chiplet's computational core in Globalfoundries 22FDX process and demonstrate more than 5x improvement in energy efficiency on FP intensive workloads compared to CPUs and GPUs. The compute capability at high energy and area efficiency is provided in 'Snitch: A tiny pseudo dual-issue processor for area and energy efficient execution of floating-point intensive workloads,' IEEE Trans. Comput., containing eight small integer cores, each controlling a large floating-point unit (FPU). The core supports two custom ISA extensions: The SSRs extension elides explicit load and store instructions by encoding them as register reads and writes ('Stream semantic registers: A lightweight RISC-V ISA extension achieving full compute utilization in single-issue cores,' IEEE Trans. Comput.). The floating-point repetition extension decouples the integer core from the FPU allowing floating-point instructions to be issued independently. These two extensions allow the single-issue core to minimize its instruction fetch bandwidth and saturate the instruction bandwidth of the FPU, achieving FPU utilization above 90%, with more than 40% of core area dedicated to the FPU.

Manticore: A 4096-Core RISC-V Chiplet Architecture for Ultraefficient Floating-Point Computing / Zaruba F.; Schuiki F.; Benini L.. - In: IEEE MICRO. - ISSN 0272-1732. - ELETTRONICO. - 41:2(2021), pp. 9296802.36-9296802.42. [10.1109/MM.2020.3045564]

Manticore: A 4096-Core RISC-V Chiplet Architecture for Ultraefficient Floating-Point Computing

Benini L.
2021

Abstract

Data-parallel problems demand ever growing floating-point (FP) operations per second under tight area-and energy-efficiency constraints. In this work, we present Manticore, a general-purpose, ultraefficient chiplet-based architecture for data-parallel FP workloads. We have manufactured a prototype of the chiplet's computational core in Globalfoundries 22FDX process and demonstrate more than 5x improvement in energy efficiency on FP intensive workloads compared to CPUs and GPUs. The compute capability at high energy and area efficiency is provided in 'Snitch: A tiny pseudo dual-issue processor for area and energy efficient execution of floating-point intensive workloads,' IEEE Trans. Comput., containing eight small integer cores, each controlling a large floating-point unit (FPU). The core supports two custom ISA extensions: The SSRs extension elides explicit load and store instructions by encoding them as register reads and writes ('Stream semantic registers: A lightweight RISC-V ISA extension achieving full compute utilization in single-issue cores,' IEEE Trans. Comput.). The floating-point repetition extension decouples the integer core from the FPU allowing floating-point instructions to be issued independently. These two extensions allow the single-issue core to minimize its instruction fetch bandwidth and saturate the instruction bandwidth of the FPU, achieving FPU utilization above 90%, with more than 40% of core area dedicated to the FPU.
2021
Manticore: A 4096-Core RISC-V Chiplet Architecture for Ultraefficient Floating-Point Computing / Zaruba F.; Schuiki F.; Benini L.. - In: IEEE MICRO. - ISSN 0272-1732. - ELETTRONICO. - 41:2(2021), pp. 9296802.36-9296802.42. [10.1109/MM.2020.3045564]
Zaruba F.; Schuiki F.; Benini L.
File in questo prodotto:
Eventuali allegati, non sono esposti

I documenti in IRIS sono protetti da copyright e tutti i diritti sono riservati, salvo diversa indicazione.

Utilizza questo identificativo per citare o creare un link a questo documento: https://hdl.handle.net/11585/859946
 Attenzione

Attenzione! I dati visualizzati non sono stati sottoposti a validazione da parte dell'ateneo

Citazioni
  • ???jsp.display-item.citation.pmc??? ND
  • Scopus 27
  • ???jsp.display-item.citation.isi??? 22
social impact