Variation in performance and power across manufactured parts and their operating conditions is a well-known issue in advanced CMOS processes. This paper proposes a resilient HW/SW archi-tecture for shared-L1 processor clusters to combat both static and dynamic variations. We first introduce the notion of procedure-level vulnerability (PLV) to expose fast dynamic voltage variation and its effects to the software stack for use in runtime compensa-tion. To assess PLV, we quantify the effect of full operating con-ditions on the dynamic voltage variation of a post-layout proces-sor in 45nm TSMC technology. Based on our analysis, PLV shows a range of 18mV−63mV inter-corner variation among the maximum voltage droop of procedures. To exploit this variation we propose a low-cost procedure hopping technique within the processor clusters, utilizing compile time characterized metadata related to PLV. Our results show that procedure hopping avoids critical voltage droops during the execution of all procedures while incurring less than 1% latency penalty.

Procedure hopping: a low overhead solution to mitigate variability in shared-L1 processor clusters / A. Rahimi; L. Benini; R. Gupta. - STAMPA. - (2012), pp. 415-420. (Intervento presentato al convegno ISLPED '12 Proceedings of the 2012 ACM/IEEE international symposium on Low power electronics and design tenutosi a Redondo Beach, California, USA nel July 30-August 1, 2012) [10.1145/2333660.2333754].

Procedure hopping: a low overhead solution to mitigate variability in shared-L1 processor clusters

BENINI, LUCA;
2012

Abstract

Variation in performance and power across manufactured parts and their operating conditions is a well-known issue in advanced CMOS processes. This paper proposes a resilient HW/SW archi-tecture for shared-L1 processor clusters to combat both static and dynamic variations. We first introduce the notion of procedure-level vulnerability (PLV) to expose fast dynamic voltage variation and its effects to the software stack for use in runtime compensa-tion. To assess PLV, we quantify the effect of full operating con-ditions on the dynamic voltage variation of a post-layout proces-sor in 45nm TSMC technology. Based on our analysis, PLV shows a range of 18mV−63mV inter-corner variation among the maximum voltage droop of procedures. To exploit this variation we propose a low-cost procedure hopping technique within the processor clusters, utilizing compile time characterized metadata related to PLV. Our results show that procedure hopping avoids critical voltage droops during the execution of all procedures while incurring less than 1% latency penalty.
2012
ISLPED '12 Proceedings of the 2012 ACM/IEEE international symposium on Low power electronics and design
415
420
Procedure hopping: a low overhead solution to mitigate variability in shared-L1 processor clusters / A. Rahimi; L. Benini; R. Gupta. - STAMPA. - (2012), pp. 415-420. (Intervento presentato al convegno ISLPED '12 Proceedings of the 2012 ACM/IEEE international symposium on Low power electronics and design tenutosi a Redondo Beach, California, USA nel July 30-August 1, 2012) [10.1145/2333660.2333754].
A. Rahimi; L. Benini; R. Gupta
File in questo prodotto:
Eventuali allegati, non sono esposti

I documenti in IRIS sono protetti da copyright e tutti i diritti sono riservati, salvo diversa indicazione.

Utilizza questo identificativo per citare o creare un link a questo documento: https://hdl.handle.net/11585/132780
 Attenzione

Attenzione! I dati visualizzati non sono stati sottoposti a validazione da parte dell'ateneo

Citazioni
  • ???jsp.display-item.citation.pmc??? ND
  • Scopus 12
  • ???jsp.display-item.citation.isi??? ND
social impact