This paper analyzes the properties of a class of congestion-free multistage switching networks (MSSNs) are butterfly-based and suitable for embedded programmable devices, which require sustaining static multicast connectivity. These MSSNs are fully synthesizable and enable the design of programmable IPs with typical size in the order of 1 KLUT, coupling flexibility with fast turn-around time. The non-blocking property for static connection of this class of MSSN is discussed. Our analysis shows pros and cons of adopting radix-2 or radix-4 MSSN structures, as well as the impact of bypass-paths to make the network fully hierarchical and locality-aware thanks also to a dedicated programming strategy. Implementation experiments carried out on STM CMOS 65 nm technology show the availability of various area-speed trade-offs, resulting in a range of ≃2× in frequency and a range of ≃4× in area. Depending on the specific application-field, an optimal interconnect definition is thus achieved without compromising the routability properties. In this respect, the paper proposes a simplified application-driven model for evaluation of the best MSSN, including bypass-adoption and radix selection.

Quantitative analysis of multistage switching networks for embedded programmable devices / Renzini, Francesco*; Cuppini, Matteo; Mucci, Claudio; Franchi Scarselli Eleonora; Canegallo, Roberto. - In: ELECTRONICS. - ISSN 2079-9292. - ELETTRONICO. - 8:3(2019), pp. 272.1-272.19. [10.3390/electronics8030272]

Quantitative analysis of multistage switching networks for embedded programmable devices

Renzini, Francesco;Cuppini, Matteo;Franchi Scarselli Eleonora;
2019

Abstract

This paper analyzes the properties of a class of congestion-free multistage switching networks (MSSNs) are butterfly-based and suitable for embedded programmable devices, which require sustaining static multicast connectivity. These MSSNs are fully synthesizable and enable the design of programmable IPs with typical size in the order of 1 KLUT, coupling flexibility with fast turn-around time. The non-blocking property for static connection of this class of MSSN is discussed. Our analysis shows pros and cons of adopting radix-2 or radix-4 MSSN structures, as well as the impact of bypass-paths to make the network fully hierarchical and locality-aware thanks also to a dedicated programming strategy. Implementation experiments carried out on STM CMOS 65 nm technology show the availability of various area-speed trade-offs, resulting in a range of ≃2× in frequency and a range of ≃4× in area. Depending on the specific application-field, an optimal interconnect definition is thus achieved without compromising the routability properties. In this respect, the paper proposes a simplified application-driven model for evaluation of the best MSSN, including bypass-adoption and radix selection.
2019
Quantitative analysis of multistage switching networks for embedded programmable devices / Renzini, Francesco*; Cuppini, Matteo; Mucci, Claudio; Franchi Scarselli Eleonora; Canegallo, Roberto. - In: ELECTRONICS. - ISSN 2079-9292. - ELETTRONICO. - 8:3(2019), pp. 272.1-272.19. [10.3390/electronics8030272]
Renzini, Francesco*; Cuppini, Matteo; Mucci, Claudio; Franchi Scarselli Eleonora; Canegallo, Roberto
File in questo prodotto:
File Dimensione Formato  
electronics-08-00272.pdf

accesso aperto

Tipo: Versione (PDF) editoriale
Licenza: Licenza per Accesso Aperto. Creative Commons Attribuzione (CCBY)
Dimensione 4.73 MB
Formato Adobe PDF
4.73 MB Adobe PDF Visualizza/Apri

I documenti in IRIS sono protetti da copyright e tutti i diritti sono riservati, salvo diversa indicazione.

Utilizza questo identificativo per citare o creare un link a questo documento: https://hdl.handle.net/11585/685039
Citazioni
  • ???jsp.display-item.citation.pmc??? ND
  • Scopus 4
  • ???jsp.display-item.citation.isi??? 3
social impact